Vai al contenuto principale della pagina

Learning from VLSI Design Experience / / by Weng Fook Lee



(Visualizza in formato marc)    (Visualizza in BIBFRAME)

Autore: Lee Weng Fook Visualizza persona
Titolo: Learning from VLSI Design Experience / / by Weng Fook Lee Visualizza cluster
Pubblicazione: Cham : , : Springer International Publishing : , : Imprint : Springer, , 2019
Edizione: 1st ed. 2019.
Descrizione fisica: 1 online resource (xxix, 214 pages)
Disciplina: 621.395
Soggetto topico: Electronic circuits
Microprocessors
Electronics
Microelectronics
Circuits and Systems
Processor Architectures
Electronics and Microelectronics, Instrumentation
Nota di contenuto: Chapter 1. Introduction -- Chapter 2. Design Methodology and Flow -- Chapter 3. Multiple Clock Design -- Chapter 4. Latch Inference -- Chapter 5. Design for Test -- Chapter 6. Signed Verilog -- Chapter 7. State Machine -- Chapter 8. RTL Coding Guideline -- Chapter 9. Code Coverage. .
Sommario/riassunto: This book shares with readers practical design knowledge gained from the author’s 24 years of IC design experience. The author addresses issues and challenges faced commonly by IC designers, along with solutions and workarounds. Guidelines are described for tackling issues such as clock domain crossing, using lockup latch to cross clock domains during scan shift, implementation of scan chains across power domain, optimization methods to improve timing, how standard cell libraries can aid in synthesis optimization, BKM (best known method) for RTL coding, test compression, memory BIST, usage of signed Verilog for design requiring +ve and -ve calculations, state machine, code coverage and much more. Numerous figures and examples are provided to aid the reader in understanding the issues and their workarounds. Addresses practical design issues and their workarounds; Discusses issues such as CDC, crossing clock domain in shift, scan chains across power domain, timing optimization, standard cell library influence on synthesis, DFT, code coverage, state machine; Provides readers with an RTL coding guideline, based on real experience.
Titolo autorizzato: Learning from VLSI Design Experience  Visualizza cluster
ISBN: 3-030-03238-8
Formato: Materiale a stampa
Livello bibliografico Monografia
Lingua di pubblicazione: Inglese
Record Nr.: 9910337645003321
Lo trovi qui: Univ. Federico II
Opac: Controlla la disponibilità qui