top

  Info

  • Utilizzare la checkbox di selezione a fianco di ciascun documento per attivare le funzionalità di stampa, invio email, download nei formati disponibili del (i) record.

  Info

  • Utilizzare questo link per rimuovere la selezione effettuata.
Advanced interconnects for ULSI technology [[electronic resource] /] / edited by Mikhail Baklanov, Paul S. Ho and Ehrenfried Zschech
Advanced interconnects for ULSI technology [[electronic resource] /] / edited by Mikhail Baklanov, Paul S. Ho and Ehrenfried Zschech
Edizione [2nd ed.]
Pubbl/distr/stampa Chichester, West Susex, : Wiley, 2012
Descrizione fisica 1 online resource (615 p.)
Disciplina 621.39/5
Altri autori (Persone) BaklanovMikhail
HoP. S
ZschechEhrenfried
Soggetto topico Integrated circuits - Ultra large scale integration
Interconnects (Integrated circuit technology)
ISBN 1-119-96686-8
1-119-96367-2
1-280-59080-7
9786613620637
1-119-96324-9
Classificazione TEC008050
Formato Materiale a stampa
Livello bibliografico Monografia
Lingua di pubblicazione eng
Nota di contenuto Advanced Interconnects for ULSI Technology; Contents; About the Editors; List of Contributors; Preface; Abbreviations; Section I Low-k Materials; 1 Low-k Materials: Recent Advances; 1.1 Introduction; 1.2 Integration Challenges; 1.2.1 Process-Induced Damage; 1.2.2 Mechanical Properties; 1.3 Processing Approaches to Existing Integration Issues; 1.3.1 Post-deposition Treatments; 1.3.2 Prevention or Repair of Plasma-Induced Processing Damage; 1.3.3 Multilayer Structures; 1.4 Material Advances to Overcome Current Limitations; 1.4.1 Silica Zeolites; 1.4.2 Hybrid Organic-Inorganic: Oxycarbosilanes
1.5 ConclusionReferences; 2 Ultra-Low-k by CVD: Deposition and Curing; 2.1 Introduction; 2.2 Porogen Approach by PECVD; 2.2.1 Precursors and Deposition Conditions; 2.2.2 Mystery Still Unsolved: From Porogens to Pores; 2.3 UV Curing; 2.3.1 General Overview of Curing; 2.3.2 UV Curing Mechanisms; 2.4 Impact of Curing on Structure and Physical Properties: Benefits of UV Curing; 2.4.1 Porosity; 2.4.2 Chemical Structure and Mechanical Properties; 2.4.3 Electrical Properties; 2.5 Limit/Issues with the Porogen Approach; 2.5.1 Porosity Creation Limit; 2.5.2 Porogen Residues; 2.6 Future of CVD Low-k
2.6.1 New Matrix Precursor2.6.2 Other Deposition Strategies; 2.6.3 New Deposition Techniques; 2.7 Material Engineering: Adaptation to Integration Schemes; 2.8 Conclusion; References; 3 Plasma Processing of Low-k Dielectrics; 3.1 Introduction; 3.2 Materials and Equipment; 3.3 Process Results Characterization; 3.4 Interaction of Low-k Dielectrics with Plasma; 3.4.1 Low-k Etch Chemistries; 3.4.2 Patterning Strategies and Masking Materials; 3.4.3 Etch Mechanisms; 3.5 Mechanisms of Plasma Damage; 3.5.1 Gap Structure Studies; 3.5.2 Effect of Radical Density; 3.5.3 Effect of Ion Energy
3.5.4 Effect of Photon Energy and Intensity3.5.5 Plasma Damage by Oxidative Radicals; 3.5.6 Hydrogen-Based Plasma; 3.5.7 Minimization of Plasma Damage; 3.6 Dielectric Recovery; 3.6.1 CH4 Beam Treatment; 3.6.2 Dielectric Recovery by Silylation; 3.6.3 UV Radiation; 3.7 Conclusions; References; 4 Wet Clean Applications in Porous Low-k Patterning Processes; 4.1 Introduction; 4.2 Silica and Porous Hybrid Dielectric Materials; 4.3 Impact of Plasma and Subsequent Wet Clean Processes on the Stability of Porous Low-k Dielectrics; 4.3.1 Stability in Pure Chemical Solutions
4.3.2 Stability in Commercial Chemistries4.3.3 Hydrophobicity of Hybrid Low-k Materials; 4.4 Removal of Post-Etch Residues and Copper Surface Cleaning; 4.5 Plasma Modification and Removal of Post-Etch 193 nm Photoresist; 4.5.1 Modification of 193 nm Photoresist by Plasma Etch; 4.5.2 Wet Removal of 193 nm Photoresist; Acknowledgments; References; Section II Conductive Layers and Barriers; 5 Copper Electroplating for On-Chip Metallization; 5.1 Introduction; 5.2 Copper Electroplating Techniques; 5.3 Copper Electroplating Superfill; 5.3.1 The Role of Accelerator; 5.3.2 The Role of Suppressor
5.3.3 The Role of Leveler
Record Nr. UNINA-9910141346803321
Chichester, West Susex, : Wiley, 2012
Materiale a stampa
Lo trovi qui: Univ. Federico II
Opac: Controlla la disponibilità qui
Advanced interconnects for ULSI technology [[electronic resource] /] / edited by Mikhail Baklanov, Paul S. Ho and Ehrenfried Zschech
Advanced interconnects for ULSI technology [[electronic resource] /] / edited by Mikhail Baklanov, Paul S. Ho and Ehrenfried Zschech
Edizione [2nd ed.]
Pubbl/distr/stampa Chichester, West Susex, : Wiley, 2012
Descrizione fisica 1 online resource (615 p.)
Disciplina 621.39/5
Altri autori (Persone) BaklanovMikhail
HoP. S
ZschechEhrenfried
Soggetto topico Integrated circuits - Ultra large scale integration
Interconnects (Integrated circuit technology)
ISBN 1-119-96686-8
1-119-96367-2
1-280-59080-7
9786613620637
1-119-96324-9
Classificazione TEC008050
Formato Materiale a stampa
Livello bibliografico Monografia
Lingua di pubblicazione eng
Nota di contenuto Advanced Interconnects for ULSI Technology; Contents; About the Editors; List of Contributors; Preface; Abbreviations; Section I Low-k Materials; 1 Low-k Materials: Recent Advances; 1.1 Introduction; 1.2 Integration Challenges; 1.2.1 Process-Induced Damage; 1.2.2 Mechanical Properties; 1.3 Processing Approaches to Existing Integration Issues; 1.3.1 Post-deposition Treatments; 1.3.2 Prevention or Repair of Plasma-Induced Processing Damage; 1.3.3 Multilayer Structures; 1.4 Material Advances to Overcome Current Limitations; 1.4.1 Silica Zeolites; 1.4.2 Hybrid Organic-Inorganic: Oxycarbosilanes
1.5 ConclusionReferences; 2 Ultra-Low-k by CVD: Deposition and Curing; 2.1 Introduction; 2.2 Porogen Approach by PECVD; 2.2.1 Precursors and Deposition Conditions; 2.2.2 Mystery Still Unsolved: From Porogens to Pores; 2.3 UV Curing; 2.3.1 General Overview of Curing; 2.3.2 UV Curing Mechanisms; 2.4 Impact of Curing on Structure and Physical Properties: Benefits of UV Curing; 2.4.1 Porosity; 2.4.2 Chemical Structure and Mechanical Properties; 2.4.3 Electrical Properties; 2.5 Limit/Issues with the Porogen Approach; 2.5.1 Porosity Creation Limit; 2.5.2 Porogen Residues; 2.6 Future of CVD Low-k
2.6.1 New Matrix Precursor2.6.2 Other Deposition Strategies; 2.6.3 New Deposition Techniques; 2.7 Material Engineering: Adaptation to Integration Schemes; 2.8 Conclusion; References; 3 Plasma Processing of Low-k Dielectrics; 3.1 Introduction; 3.2 Materials and Equipment; 3.3 Process Results Characterization; 3.4 Interaction of Low-k Dielectrics with Plasma; 3.4.1 Low-k Etch Chemistries; 3.4.2 Patterning Strategies and Masking Materials; 3.4.3 Etch Mechanisms; 3.5 Mechanisms of Plasma Damage; 3.5.1 Gap Structure Studies; 3.5.2 Effect of Radical Density; 3.5.3 Effect of Ion Energy
3.5.4 Effect of Photon Energy and Intensity3.5.5 Plasma Damage by Oxidative Radicals; 3.5.6 Hydrogen-Based Plasma; 3.5.7 Minimization of Plasma Damage; 3.6 Dielectric Recovery; 3.6.1 CH4 Beam Treatment; 3.6.2 Dielectric Recovery by Silylation; 3.6.3 UV Radiation; 3.7 Conclusions; References; 4 Wet Clean Applications in Porous Low-k Patterning Processes; 4.1 Introduction; 4.2 Silica and Porous Hybrid Dielectric Materials; 4.3 Impact of Plasma and Subsequent Wet Clean Processes on the Stability of Porous Low-k Dielectrics; 4.3.1 Stability in Pure Chemical Solutions
4.3.2 Stability in Commercial Chemistries4.3.3 Hydrophobicity of Hybrid Low-k Materials; 4.4 Removal of Post-Etch Residues and Copper Surface Cleaning; 4.5 Plasma Modification and Removal of Post-Etch 193 nm Photoresist; 4.5.1 Modification of 193 nm Photoresist by Plasma Etch; 4.5.2 Wet Removal of 193 nm Photoresist; Acknowledgments; References; Section II Conductive Layers and Barriers; 5 Copper Electroplating for On-Chip Metallization; 5.1 Introduction; 5.2 Copper Electroplating Techniques; 5.3 Copper Electroplating Superfill; 5.3.1 The Role of Accelerator; 5.3.2 The Role of Suppressor
5.3.3 The Role of Leveler
Record Nr. UNINA-9910825297303321
Chichester, West Susex, : Wiley, 2012
Materiale a stampa
Lo trovi qui: Univ. Federico II
Opac: Controlla la disponibilità qui
Dielectric films for advanced microelectronics [[electronic resource] /] / edited by Mikhail Baklanov, Martin Green, and Karen Maex
Dielectric films for advanced microelectronics [[electronic resource] /] / edited by Mikhail Baklanov, Martin Green, and Karen Maex
Pubbl/distr/stampa Chichester, England ; ; Hoboken, NJ, : John Wiley & Sons, c2007
Descrizione fisica 1 online resource (510 p.)
Disciplina 621.381
Altri autori (Persone) BaklanovMikhail
GreenMartin
MaexKaren
Collana Wiley series in materials for electronic and optoelectronic applications
Soggetto topico Dielectric films
Microelectronics - Materials
ISBN 1-282-34620-2
9786612346200
0-470-01794-5
0-470-06541-9
Formato Materiale a stampa
Livello bibliografico Monografia
Lingua di pubblicazione eng
Nota di contenuto Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition / A. Grill -- Spin-on dielectric materials / Geraud Dubois, Robert D. Miller, Willi Volksen -- Positron annihilation spectroscopy / David W. Gidley, Hua-Gen Peng, Richard Vallery -- Structure characterization of nanoporous interlevel dielectric thin films with x-ray and neutron radiation / Christopher L. Soles ... [et al.] -- Ellipsometric porosimetry / Mikhail R. Baklanov -- Mechanical and transport properties of low-k dielectrics / J. L. Plawsky ... [et al.] -- Integration of low-k dielectric films in damascene processes / R. J. O. M. Hoofman ... [et al.] -- ONO structures and oxynitrides in modern microelectronics : material science, characterization and application / Yakov Roizin, Vladimir Gritsenko -- Material engineering of high-k gate dielectrics / Akira Toriumi, Koji Kita -- Physical characterization of ultra-thin high-k dielectric / T. Conard, H. Bender, W. Vandervorst -- Electrical characterization of advanced gate dielectrics / Robin Degraeve ... [et al.] -- Integration issues of high-k gate dielectrics / Yasuo Nara -- Anisotropic conductive film (ACF) for advanced microelectronic interconnects / Yi Li, C. P. Wong.
Record Nr. UNINA-9910143706103321
Chichester, England ; ; Hoboken, NJ, : John Wiley & Sons, c2007
Materiale a stampa
Lo trovi qui: Univ. Federico II
Opac: Controlla la disponibilità qui
Dielectric films for advanced microelectronics [[electronic resource] /] / edited by Mikhail Baklanov, Martin Green, and Karen Maex
Dielectric films for advanced microelectronics [[electronic resource] /] / edited by Mikhail Baklanov, Martin Green, and Karen Maex
Pubbl/distr/stampa Chichester, England ; ; Hoboken, NJ, : John Wiley & Sons, c2007
Descrizione fisica 1 online resource (510 p.)
Disciplina 621.381
Altri autori (Persone) BaklanovMikhail
GreenMartin
MaexKaren
Collana Wiley series in materials for electronic and optoelectronic applications
Soggetto topico Dielectric films
Microelectronics - Materials
ISBN 1-282-34620-2
9786612346200
0-470-01794-5
0-470-06541-9
Formato Materiale a stampa
Livello bibliografico Monografia
Lingua di pubblicazione eng
Nota di contenuto Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition / A. Grill -- Spin-on dielectric materials / Geraud Dubois, Robert D. Miller, Willi Volksen -- Positron annihilation spectroscopy / David W. Gidley, Hua-Gen Peng, Richard Vallery -- Structure characterization of nanoporous interlevel dielectric thin films with x-ray and neutron radiation / Christopher L. Soles ... [et al.] -- Ellipsometric porosimetry / Mikhail R. Baklanov -- Mechanical and transport properties of low-k dielectrics / J. L. Plawsky ... [et al.] -- Integration of low-k dielectric films in damascene processes / R. J. O. M. Hoofman ... [et al.] -- ONO structures and oxynitrides in modern microelectronics : material science, characterization and application / Yakov Roizin, Vladimir Gritsenko -- Material engineering of high-k gate dielectrics / Akira Toriumi, Koji Kita -- Physical characterization of ultra-thin high-k dielectric / T. Conard, H. Bender, W. Vandervorst -- Electrical characterization of advanced gate dielectrics / Robin Degraeve ... [et al.] -- Integration issues of high-k gate dielectrics / Yasuo Nara -- Anisotropic conductive film (ACF) for advanced microelectronic interconnects / Yi Li, C. P. Wong.
Record Nr. UNINA-9910831036703321
Chichester, England ; ; Hoboken, NJ, : John Wiley & Sons, c2007
Materiale a stampa
Lo trovi qui: Univ. Federico II
Opac: Controlla la disponibilità qui
Dielectric films for advanced microelectronics [[electronic resource] /] / edited by Mikhail Baklanov, Martin Green, and Karen Maex
Dielectric films for advanced microelectronics [[electronic resource] /] / edited by Mikhail Baklanov, Martin Green, and Karen Maex
Pubbl/distr/stampa Chichester, England ; ; Hoboken, NJ, : John Wiley & Sons, c2007
Descrizione fisica 1 online resource (510 p.)
Disciplina 621.381
Altri autori (Persone) BaklanovMikhail
GreenMartin
MaexKaren
Collana Wiley series in materials for electronic and optoelectronic applications
Soggetto topico Dielectric films
Microelectronics - Materials
ISBN 1-282-34620-2
9786612346200
0-470-01794-5
0-470-06541-9
Formato Materiale a stampa
Livello bibliografico Monografia
Lingua di pubblicazione eng
Nota di contenuto Low and ultralow dielectric constant films prepared by plasma-enhanced chemical vapor deposition / A. Grill -- Spin-on dielectric materials / Geraud Dubois, Robert D. Miller, Willi Volksen -- Positron annihilation spectroscopy / David W. Gidley, Hua-Gen Peng, Richard Vallery -- Structure characterization of nanoporous interlevel dielectric thin films with x-ray and neutron radiation / Christopher L. Soles ... [et al.] -- Ellipsometric porosimetry / Mikhail R. Baklanov -- Mechanical and transport properties of low-k dielectrics / J. L. Plawsky ... [et al.] -- Integration of low-k dielectric films in damascene processes / R. J. O. M. Hoofman ... [et al.] -- ONO structures and oxynitrides in modern microelectronics : material science, characterization and application / Yakov Roizin, Vladimir Gritsenko -- Material engineering of high-k gate dielectrics / Akira Toriumi, Koji Kita -- Physical characterization of ultra-thin high-k dielectric / T. Conard, H. Bender, W. Vandervorst -- Electrical characterization of advanced gate dielectrics / Robin Degraeve ... [et al.] -- Integration issues of high-k gate dielectrics / Yasuo Nara -- Anisotropic conductive film (ACF) for advanced microelectronic interconnects / Yi Li, C. P. Wong.
Record Nr. UNINA-9910841471603321
Chichester, England ; ; Hoboken, NJ, : John Wiley & Sons, c2007
Materiale a stampa
Lo trovi qui: Univ. Federico II
Opac: Controlla la disponibilità qui