LEADER 04618nam 2200673 a 450 001 9910812748203321 005 20200520144314.0 010 $a0-309-21164-6 010 $a1-283-08152-0 010 $a9786613081520 010 $a0-309-15952-0 035 $a(CKB)2550000000032876 035 $a(EBL)3378760 035 $a(SSID)ssj0000536979 035 $a(PQKBManifestationID)11369516 035 $a(PQKBTitleCode)TC0000536979 035 $a(PQKBWorkID)10550843 035 $a(PQKB)10397895 035 $a(MiAaPQ)EBC3378760 035 $a(Au-PeEL)EBL3378760 035 $a(CaPaEBR)ebr10465999 035 $a(CaONFJC)MIL308152 035 $a(OCoLC)720654008 035 $a(EXLCZ)992550000000032876 100 $a20110428d2011 uy 0 101 0 $aeng 135 $aurcn||||||||| 181 $ctxt 182 $cc 183 $acr 200 14$aThe future of computing performance $egame over or next level? /$fSamuel H. Fuller and Lynette I. Millett, editors. ; Committee on Sustaining Growth in Computing Performance, Computer Science and Technology Board, Division on Engineering and Physical Science, National Research Council of the National Academies 205 $a1st ed. 210 $aWashington, D.C. $cNational Academies Press$dc2011 215 $a1 online resource (201 p.) 300 $aDescription based upon print version of record. 311 $a0-309-15951-2 320 $aIncludes bibliographical references. 327 $a""Front Matter""; ""Preface""; ""Acknowledgment of Reviewers""; ""Contents""; ""Abstract""; ""Summary""; ""1 The Need for Continued Performance Growth""; ""2 What Is Computer Performance?""; ""3 Power Is Now Limiting Growth in Computing Performance""; ""4 The End of Programming as We Know It""; ""5 Research, Practice, and Education to Meet Tomorrow's Performance Needs""; ""Appendixes""; ""Appendix A: A History of Computer Performance""; ""Appendix B: Biographies of Committee Members and Staff"" 327 $a""Appendix C: Reprint of Gordon E. Moore's Cramming More Components onto Integrated Circuits?""""Appendix D: Reprint of Robert H. Dennard's Design of Ion-Implanted MOSFET's with Very Small Physical Dimensions"" 330 $aThe end of dramatic exponential growth in single-processor performance marks the end of the dominance of the single microprocessor in computing. The era of sequential computing must give way to a new era in which parallelism is at the forefront. Although important scientific and engineering challenges lie ahead, this is an opportune time for innovation in programming systems and computing architectures. We have already begun to see diversity in computer designs to optimize for such considerations as power and throughput. The next generation of discoveries is likely to require advances at both the hardware and software levels of computing systems. There is no guarantee that we can make parallel computing as common and easy to use as yesterday's sequential single-processor computer systems, but unless we aggressively pursue efforts suggested by the recommendations in this book, it will be "game over" for growth in computing performance. If parallel programming and related software efforts fail to become widespread, the development of exciting new applications that drive the computer industry will stall; if such innovation stalls, many other parts of the economy will follow suit. The future of computing performance describes the factors that have led to the future limitations on growth for single processors that are based on complementary metal oxide semiconductor (CMOS) technology. It explores challenges inherent in parallel computing and architecture, including ever-increasing power consumption and the escalated requirements for heat dissipation --$csource other than the Library of Congress. 517 3 $aGame over or next level? 606 $aElectronic digital computers$xEvaluation 606 $aMultiprocessors 606 $aTechnological innovations$xForecasting 615 0$aElectronic digital computers$xEvaluation. 615 0$aMultiprocessors. 615 0$aTechnological innovations$xForecasting. 676 $a004.24 701 $aFuller$b Samuel H.$f1946-$025956 701 $aMillett$b Lynette I$01595301 712 02$aNational Research Council (U.S.).$bComputer Science and Technology Board. 712 02$aNational Academies Press (U.S.) 801 0$bMiAaPQ 801 1$bMiAaPQ 801 2$bMiAaPQ 906 $aBOOK 912 $a9910812748203321 996 $aThe future of computing performance$94021093 997 $aUNINA