04754nam 22006975 450 991029966400332120221013123154.03-319-01997-X10.1007/978-3-319-01997-0(CKB)3710000000261999(EBL)1965111(OCoLC)894042300(SSID)ssj0001372473(PQKBManifestationID)11895546(PQKBTitleCode)TC0001372473(PQKBWorkID)11304514(PQKB)10411982(DE-He213)978-3-319-01997-0(MiAaPQ)EBC1965111(PPN)182091813(EXLCZ)99371000000026199920141014d2015 u| 0engur|n|||||||||txtccrFlip-Flop Design in Nanometer CMOS[electronic resource] From High Speed to Low Energy /by Massimo Alioto, Elio Consoli, Gaetano Palumbo1st ed. 2015.Cham :Springer International Publishing :Imprint: Springer,2015.1 online resource (268 p.)Description based upon print version of record.3-319-01996-1 Includes bibliographical references and index.The Logical Effort Method -- Design in the Energy-Delay Space -- Clocked Storage Elements -- Flip-Flop Optimized Design -- Analysis and Comparison in the Energy-Delay-Area Domain -- Energy Efficiency Versus Clock Slope -- Hold Time Issues and Impact of variations on Flip-Flop Topologies -- Ultra-Fast and Energy-Efficient Pulsed Latch Topologies.This book provides a unified treatment of Flip-Flop design and selection in nanometer CMOS VLSI systems. The design aspects related to the energy-delay tradeoff in Flip-Flops are discussed, including their energy-optimal selection according to the targeted application, and the detailed circuit design in nanometer CMOS VLSI systems. Design strategies are derived in a coherent framework that includes explicitly nanometer effects, including leakage, layout parasitics and process/voltage/temperature variations, as main advances over the existing body of work in the field. The related design tradeoffs are explored in a wide range of applications and the related energy-performance targets. A wide range of existing and recently proposed Flip-Flop topologies are discussed. Theoretical foundations are provided to set the stage for the derivation of design guidelines, and emphasis is given on practical aspects and consequences of the presented results. Analytical models and derivations are introduced when needed to gain an insight into the inter-dependence of design parameters under practical constraints. This book serves as a valuable reference for practicing engineers working in the VLSI design area, and as text book for senior undergraduate, graduate  and postgraduate students (already familiar with digital circuits and timing). • Provides a unified treatment of Flip-Flop design and energy/variation-aware selection in nanometer CMOS VLSI systems • Offers in-depth analysis of the impact of nanometer effects on  design tradeoffs • Presents a comprehensive analysis, by considering more than 20 topologies covering all relevant classes of circuits • Uses a rigorous framework based on novel methodologies to include layout parasitics within the circuit design loop  .Electronic circuitsMicroprocessorsNanotechnologyCircuits and Systemshttps://scigraph.springernature.com/ontologies/product-market-codes/T24068Electronic Circuits and Deviceshttps://scigraph.springernature.com/ontologies/product-market-codes/P31010Processor Architectureshttps://scigraph.springernature.com/ontologies/product-market-codes/I13014Nanotechnology and Microengineeringhttps://scigraph.springernature.com/ontologies/product-market-codes/T18000Electronic circuits.Microprocessors.Nanotechnology.Circuits and Systems.Electronic Circuits and Devices.Processor Architectures.Nanotechnology and Microengineering.004.1620620.5621.3815Alioto Massimoauthttp://id.loc.gov/vocabulary/relators/aut720587Consoli Elioauthttp://id.loc.gov/vocabulary/relators/autPalumbo Gaetanoauthttp://id.loc.gov/vocabulary/relators/autBOOK9910299664003321Flip-Flop Design in Nanometer CMOS2507746UNINA